সুচিপত্র:

মোশন সেন্সর LED: 8 টি ধাপ
মোশন সেন্সর LED: 8 টি ধাপ

ভিডিও: মোশন সেন্সর LED: 8 টি ধাপ

ভিডিও: মোশন সেন্সর LED: 8 টি ধাপ
ভিডিও: সোলার মোশন সেন্সর লাইট এবং এলার্ম, PIR Motion Sensor Wall Light & Alarm 2024, জুলাই
Anonim
Image
Image

পটভূমি:

আপনি কি কখনো ক্লাস বা কর্মস্থলে যাওয়ার আগে বা বিছানায় যাওয়ার আগে লাইট বন্ধ করতে ভুলে যান? যখন আপনি তাদের ব্যবহার করছেন না তখন লাইটের সাথে সেই ঘন্টাগুলি সত্যিই খরচ এবং শক্তি ক্ষতির মধ্যে যোগ করতে পারে। উদাহরণস্বরূপ, সৌরসিটি ডটকমের মতে, এক সপ্তাহের জন্য সারা রাত আলো জ্বালানো আপনার বৈদ্যুতিক বিলে $ 25 যোগ করতে পারে! আমাদের CPE133 প্রজেক্টের জন্য, আমরা একটি মোশন সেন্সর লাইট ডিজাইন করি যাতে মানুষ তাদের বাড়িতে শক্তি সংরক্ষণ করতে পারে এবং তাদের লাইটগুলি দক্ষতার সাথে ব্যবহার করতে পারে।

অনুশীলনে আমাদের সিস্টেম:

অনুশীলনে, লাইটগুলি কেবল তখনই চালু হবে যখন একটি সেন্সর রুমে গতি সনাক্ত করে। তারপর লাইটগুলি প্রায় 30 মিনিটের মতো একটি নির্ধারিত সময়ের জন্য থাকবে এবং তারপরে স্বয়ংক্রিয়ভাবে বন্ধ হয়ে যাবে। কিন্তু ধরা যাক আপনি ঠিক পাশ দিয়ে যাচ্ছিলেন বা নির্ধারিত সময় শেষ হওয়ার আগেই ঘর থেকে বেরিয়ে যেতে চেয়েছিলেন। সেই ক্ষেত্রে, আমরা একটি বোতাম ইনস্টল করেছি যেখানে আপনি ম্যানুয়ালি লাইট চালু বা বন্ধ করতে পারেন। মনে রাখবেন লাইট ম্যানুয়ালি বা স্বয়ংক্রিয়ভাবে চালু থাকলেও লাইট 30 মিনিটের জন্য থাকবে (যদি না ম্যানুয়ালি লাইট বন্ধ থাকে)।

বোর্ডে সিমুলেশন:

টাইমার কাজ করে তা দেখার জন্য আমরা টাইমারকে 1 মিনিটে পরিবর্তন করেছি।

উপকরণ:

  • 1 বেসিস বোর্ড (আপনি এখানে ডিজিলেন্ট থেকে একটি খুঁজে পেতে পারেন)
  • 1 পিআইআর মোশন সেন্সর (আপনি এখানে অ্যামাজনে এটি খুঁজে পেতে পারেন)
  • 1 টি রুটিবোর্ড এবং কিট (আমরা আমাজন থেকে এটি ব্যবহার করার পরামর্শ দিই)
  • উপরের কিট থেকে

    • 1 LED
    • 3 মহিলা থেকে পুরুষ জাম্পার তারগুলি
    • 6 পুরুষ থেকে পুরুষ জাম্পার তারগুলি

ধাপ 1: টাইমার

1 মিনিটের জন্য LED টিকে থাকার জন্য, আমাদের প্রথমে একটি টাইমার তৈরি করতে হবে। বেসিস 3 বোর্ডের 100MHz এর অভ্যন্তরীণ ফ্রিকোয়েন্সি রয়েছে যার ফলে 100 মিলিয়ন চক্র 1 সেকেন্ডের সমান হয়। এটি তখন একটি পরিবর্তনশীল হিসাবে ব্যবহৃত হয় যা "t_cnt" এর জন্য সর্বাধিক সংখ্যা হিসাবে কাজ করবে। Basys 3 বোর্ড একটি চক্র সম্পন্ন করায় t_cnt 1 দ্বারা বৃদ্ধি পায়। একবার এটি 100 মিলিয়নে পৌঁছলে এটি পুনরায় সেট হবে এবং অন্য একটি পরিবর্তনশীল, "সেকেন্ড" 1 দ্বারা বৃদ্ধি পাবে।

নীচের কোডটি টাইমার নামে একটি vhdl সোর্স ফাইলে অনুলিপি করুন।

সত্তা COUNT_8B

পোর্ট (রিসেট: std_logic এ;

CLK: std_logic এ; টি: আউট std_logic: = '0');

COUNT_8B শেষ;

স্থাপত্য CO_8B এর my_count হয়

ধ্রুবক max_count: পূর্ণসংখ্যা: = (100000000); --signal t_cnt: std_logic_vector (7 ডাউনটো 0): = "00000000"; সংকেত t_cnt: পূর্ণসংখ্যা: = (0); প্রক্রিয়া শুরু করুন (CLK, RESET, t_cnt) পরিবর্তনশীল সেকেন্ড: পূর্ণসংখ্যা: = 0; যদি শুরু হয় - পরিষ্কার elsif (t_cnt = max_count) তারপর- max_count 100 মিলিয়ন যা 1 সেকেন্ড t_cnt <= (0) এর সমান; - 0 সেকেন্ডে অভ্যন্তরীণ ঘড়ি পুনরায় সেট করুন: = সেকেন্ড + 1; - আমাদের 'স্লো ক্লক' কে 1 দ্বারা বাড়িয়ে দেয় যদি (সেকেন্ড = 60) তাহলে- একবার 60 সেকেন্ডে পৌঁছে গেলে এটি সর্বোচ্চ সময় সেকেন্ডে পৌঁছেছে: = 0; - "স্লো ক্লক" কে 0 T <= '1' রিসেট করে; যদি শেষ; অন্যথায় t_cnt <= t_cnt + 1; - অভ্যন্তরীণ ঘড়ি টি <= '0' বাড়ায়; যদি শেষ; যদি শেষ; শেষ প্রক্রিয়া; শেষ my_count;

ধাপ 2: বোতাম অপ্টিমাইজেশন

এলইডি
এলইডি

যেহেতু Basys বোর্ডের ফ্রিকোয়েন্সি এত বেশি (প্রায় 100 MHz) যখন আপনি যা মনে করেন তার জন্য চাপ দিলে Basys বোর্ডে অল্প সময়ের জন্য আপনি এটি 100,000 বার চাপবেন। এটি আলোকে অন এবং অফ স্টেটের মধ্যে দ্রুত ঝলকানি দেয়। আমরা ঝলকানি কমাতে একটি রাষ্ট্রীয় চিত্র তৈরি করে বোতামটি অপ্টিমাইজ করার চেষ্টা করেছি।

ডি-ফ্লিপ-ফ্লপগুলি প্রতিটি রাজ্যকে ধরে রাখবে এবং তারপরে আমরা প্রক্রিয়া বিবৃতিতে রাষ্ট্রীয় পরিবর্তনগুলি নির্দিষ্ট করব।

নীচের কোডটি বোতাম নামের একটি vhdl সোর্স ফাইলে অনুলিপি করুন।

লাইব্রেরি IEEE; IEEE. STD_LOGIC_1164. ALL ব্যবহার করুন;

সত্তা বোতাম

পোর্ট (btn: STD_LOGIC এ; clk: STD_LOGIC এ; E: আউট STD_LOGIC); শেষ বোতাম;

স্থাপত্য বোতামের আচরণগত

টাইপ state_type হল (PRESSED, NP); সিগন্যাল PS, NS: state_type: = NP;

শুরু

seq_proc: প্রক্রিয়া (NS, clk) শুরু হয় যদি (rising_edge (clk)) তাহলে PS <= NS; যদি শেষ; শেষ প্রক্রিয়া seq_proc;

ns_proc: প্রক্রিয়া (btn, PS)

শুরু পিএস হল যখন NP => if (btn = '1') তারপর NS <= PRESSED; ই <= '1'; অন্যথায় NS <= NP; E যদি (btn = '0') তাহলে NS <= NP; ই <= '0'; অন্যথায় NS <= PRESSED; ই <= '0'; যদি শেষ; শেষ কেস; শেষ প্রক্রিয়া ns_proc;

শেষ আচরণ;

ধাপ 3: LED

LED এর দুটি অবস্থা আছে: OFF (বা IDLE) এবং ON। আগেই বলা হয়েছে, রাজ্যগুলি একটি ডি-ফ্লিপ-ফ্লপে সংরক্ষণ করা হয়। সেন্সর গতি (S = 1) বা একটি বোতাম চাপলে (E = 1) সনাক্ত করলে আলো ঘুরবে। টাইমার 1 মিনিট (T = 1) বা ম্যানুয়ালি একটি বোতাম চাপলে (E = 1) পৌঁছলে LED স্বয়ংক্রিয়ভাবে বন্ধ হয়ে যাবে।

নীচের কোডটি LED নামে একটি vhdl সোর্স ফাইলে অনুলিপি করুন।

সত্তা মোশন_সেন্সরড_লাইট হল পোর্ট (এস: STD_LOGIC এ; - sesnor; পোর্ট JA10/পিন G3 E: STD_LOGIC এ; - ম্যানুয়াল ফাংশনের জন্য বাহ্যিক বোতাম; সেন্টার বোতাম টি: STD_LOGIC এ;: STD_LOGIC আউট; - হালকা TRST: STD_LOGIC আউট; - ফ্লিপ ফ্লপের জন্য clk যা রাজ্যের শেষ গতি_সেন্সরড_লাইট ধরে রাখে;

স্থাপত্য গতি_সেনসার্ড_লাইটের আচরণ

টাইপ state_type হল (ST0, ST1); -ST0 = IDLE, ST1 = LED HIGH

সিগন্যাল PS, NS: state_type: = ST0; - বর্তমান রাষ্ট্র এবং পরবর্তী রাজ্য, ST0 IDLE এ শুরু হয়

শুরু

- ফ্লিপ ফ্লপের প্রসেস ব্লক- ঘড়ির ক্রমবর্ধমান প্রান্তে আপডেট অবস্থা seq_proc: প্রক্রিয়া (NS, clk) শুরু- d ফ্লিপ ফ্লপ যা রাজ্যগুলিকে ধরে রাখে যদি (rising_edge (clk)) তাহলে PS <= NS; যদি শেষ; শেষ প্রক্রিয়া seq_proc;

ns_proc: প্রক্রিয়া (S, E, T, PS)

পিএস শুরু হয় যখন ST0 => LED <= '0'; - নিষ্ক্রিয় অবস্থায় TRST <= '1' এর আউটপুট; যদি (S = '0' বা E = '1') তাহলে - st0 থেকে st1 NS <= ST1 এ পরিবর্তনের জন্য ইনপুট; অন্যথায় NS LED <= '1'; - রাজ্য TRST <= '0' এর জন্য আউটপুট; যদি (E = '1' বা T = '1') তাহলে - st1 থেকে st0 NS <= ST0 এ রূপান্তরের জন্য ইনপুট; অন্যথায় NS <= ST1; যদি শেষ; শেষ কেস; শেষ প্রক্রিয়া ns_proc;

শেষ আচরণ;

ধাপ 4: শীর্ষ ফাইল

এখন আমরা আমাদের অন্যান্য ফাইলগুলিকে একটিতে পোর্ট ম্যাপ করতে যাচ্ছি।

Top_File নামের একটি vhdl সোর্স ফাইলে নিচের কোডটি কপি করুন।

লাইব্রেরি IEEE; IEEE. STD_LOGIC_1164. ALL ব্যবহার করুন;

সত্তা Top_File হল

পোর্ট (S: STD_LOGIC: = '1'; - sesnor; পোর্ট JA10/পিন G3 btn: STD_LOGIC: = '0';: STD_LOGIC এ); - ফ্লিপ ফ্লপের জন্য clk যা রাজ্যের শেষ Top_File ধরে রাখে;

Top_File এর স্থাপত্য আচরণ

উপাদান COUNT_8B হল

পোর্ট (রিসেট: std_logic: = '0'; CLK: std_logic; T: out std_logic: = '0'); শেষ উপাদান; কম্পোনেন্ট মোশন_সেন্সরড_লাইট হল পোর্ট (S: STD_LOGIC এ; - sesnor; পোর্ট JA10/পিন G3 E: STD_LOGIC এ;: STD_LOGIC আউট; - হালকা TRST: STD_LOGIC; - ফ্লিপ ফ্লপের জন্য clk যা রাজ্যের শেষ উপাদান ধরে রাখে; কম্পোনেন্ট বাটন হল পোর্ট (btn: STD_LOGIC এ; clk: STD_LOGIC এ; E: STD_LOGIC এর বাইরে); শেষ উপাদান; সংকেত t_reached_c: std_logic; - সিগন্যাল r_time_c: std_logic; - সংকেত button_c: std_logic;

শুরু

টাইমার: COUNT_8B পোর্ট ম্যাপ (রিসেট => r_time_c, CLK => CLK, T => t_reached_c); motion_sensor: motion_sensored_light port map (S => S, E => button_c, T => t_reached_c, LED => LED, TRST => r_time_c, clk => clk); button_controller: বাটন পোর্ট ম্যাপ (btn => btn, clk => clk, E => button_c); শেষ আচরণ;

ধাপ 5: সীমাবদ্ধতা ফাইল

এখন আমাদের নির্ধারণ করতে হবে আমাদের ইনপুট এবং আউটপুট বোর্ডে কোথায় থাকবে।

নিচের কোডটি একটি vhdl constraints ফাইলের মধ্যে কপি করুন যার নাম Constraints।

## এই ফাইলটি Basys3 rev B বোর্ডের জন্য একটি সাধারণ.xdc ## এটি একটি প্রকল্পে ব্যবহার করার জন্য: ## - ব্যবহৃত পিনের সাথে সংশ্লিষ্ট লাইনগুলিকে অস্বস্তিকর করুন ## - ব্যবহৃত পোর্টগুলির নামকরণ করুন (প্রতিটি লাইনে, get_ports পরে) প্রকল্পের শীর্ষ স্তরের সংকেত নামগুলিতে

## ঘড়ির সংকেত

set_property PACKAGE_PIN W5 [get_ports clk] set_property IOSTANDARD LVCMOS33 [get_ports clk] create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk] ## সুইচ #set_property_pack_pack_packs set_property IOSTANDARD LVCMOS33 [get_ports {sw [0]}] #set_property PACKAGE_PIN V16 [get_ports {sw [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [1]}] #set_property {2_pack_swack_pack_pack_pack_pack_pack_pack_packs] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [2]}] #set_property PACKAGE_PIN W17 [get_ports {sw [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [3]}] #set_property wack15 [6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [6]}] #set_property PACKAGE_PIN W13 [get_ports {sw [7]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [7]}] #set_property PACKAGE_PIN V2 [get_ports {sw [8]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [8]}] #set_property PACKAGE_PIN T3 [get_ports {sw [9]} #set_prodty LVCMOS33 [get_ports {sw [9]}] #set_property PACKAGE_PIN T2 [get_ports {sw [10]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [10]}] #set_property PACKAGE_PIN R3 [get_ports] #sw [11] #sw [11] set_property IOSTANDARD LVCMOS33 [get_ports {sw [11]}] #set_property PACKAGE_PIN W2 [get_ports {sw [12]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [12]}] #set_property {13_Pack_Pack_Packs [13] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [13]}] #set_property PACKAGE_PIN T1 [get_ports {sw [14]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [14]}] #set_property rpack_pack [15]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [15]}]

## LEDs

#set_property PACKAGE_PIN U16 [get_ports {led [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [0]}] #set_property PACKAGE_PIN E19 [get_ports {led [1]}] #set_property IOSTANDARD LOVA [LOSTANDROD] }] #set_property PACKAGE_PIN U19 [get_ports {led [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [2]}] #set_property PACKAGE_PIN V19 [get_ports {led [3]}] #set_property IOSTAND LOSTAND [IOSASTARD LOSTARD] led [5]}] #set_property PACKAGE_PIN U14 [get_ports {led [6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [6]}] #set_property PACKAGE_PIN V14 [get_ports {led [7]}] #set_prostory IOST33 get_ports {led [7]}] #set_property PACKAGE_PIN V13 [get_ports {led [8]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [8]}] #set_property PACKAGE_PIN V3 [get_ports {led [9]}] [11]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [11]}] #set_property PACKAGE_PIN P3 [get_ports {led [12]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [12]} Pset_property [ness_property] {নেতৃত্ব [১]}] #set_property [get_ports {led [15]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [15]}] ## 7 সেগমেন্ট ডিসপ্লে #set_property PACKAGE_PIN W7 [get_ports {seg [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports [get_ports]}] #set_property PACKAGE_PIN W6 [get_ports {seg [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {seg [1]}] #set_property PACKAGE_PIN U8 [get_ports {seg [2]}] #set_property IOST NDARD LVCMOS33 [get_ports {seg [2]}] #set_property PACKAGE_PIN V8 [get_ports {seg [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {seg [3]}] #set_property PACKAGE_PIN {5] [5] [5] se5 #set_property IOSTANDARD LVCMOS33 [get_ports {seg [4]}] #set_property PACKAGE_PIN V5 [get_ports {seg [5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {seg [5]}] #set_property [seset_property] }] #set_property IOSTANDARD LVCMOS33 [get_ports {seg [6]}]

#set_property PACKAGE_PIN V7 [get_ports dp]

#set_property IOSTANDARD LVCMOS33 [get_ports dp]

#set_property PACKAGE_PIN U2 [get_ports {an [0]}]

#set_property IOSTANDARD LVCMOS33 [get_ports {an [0]}] #set_property PACKAGE_PIN U4 [get_ports {an [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {an [1]}] #set_property get2Pack4 }] #set_property IOSTANDARD LVCMOS33 [get_ports {an [2]}] #set_property PACKAGE_PIN W4 [get_ports {an [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {an [3]}]

## বোতাম

set_property PACKAGE_PIN U18 [get_ports btn] set_property IOSTANDARD LVCMOS33 [get_ports btn] #set_property PACKAGE_PIN T18 [get_ports btnU] #set_property IOSTANDARD LVCMOS33 [get_ports btnU] #set_property PACKAGE_PIN W19 [get_ports btnL] #set_property IOSTANDARD LVCMOS33 [get_ports btnL] #set_property PACKAGE_PIN T17 [get_ports btnR] #set_property IOSTANDARD LVCMOS33 [get_ports btnR] #set_property PACKAGE_PIN U17 [get_ports btnD] #set_property IOSTANDARD LVCMOS33 [get_ports btnD]

## Pmod হেডার জেএ

## Sch name = JA1 #set_property PACKAGE_PIN J1 [get_ports {JA [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA [0]}] ## Sch name = JA2 #set_property PACKAGE_PIN L2 [get_ports {JA] } JA4 LVCMOS33 [get_ports {JA [4]}] ## Sch name = JA8 set_property PACKAGE_PIN K2 [get_ports LED] set_property IOSTANDARD LVCMOS33 [get_ports LED] ## Sch name = JA9 #set_property PACKAGE_PIN H2 [6_ JA [6] set_property IOSTANDARD LVCMOS33 [get_ports {JA [6]}] ## Sch name = JA10 set_property PACKAGE_PIN G3 [get_ports S] set_property IOSTANDARD LVCMOS33 [get_ports S]

## Pmod হেডার জেবি

## Sch name = JB1 #set_property PACKAGE_PIN A14 [get_ports {JB [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB [0]}] ## Sch name = JB2 #set_property PACKAGE_PIN A16 [get_ports {JB] #set_property IOSTANDARD LVCMOS33 [get_ports {JB [1]}] ## Sch name = JB3 #set_property PACKAGE_PIN B15 [get_ports {JB [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports] JB #2 JB4 LVCMOS33 [get_ports {JB [4]}] ## Sch name = JB8 #set_property PACKAGE_PIN A17 [get_ports {JB [5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB [5]}] ## Sch name = JB9 #set_pro PACKAGE_PIN C15 [get_ports {JB [6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB [6]}] ## Sch name = JB10 #set_property PACKAGE_PIN C16 [get_ports {JB [7]}] #set_property IOSTA IOSSTY IOST33 JB [7]}]

## Pmod হেডার JC

## Sch name = JC1 #set_property PACKAGE_PIN K17 [get_ports {JC [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC [0]}] ## Sch name = JC2 #set_property PACKAGE_PIN M18 [get_ports {JC] {1] #set_property IOSTANDARD LVCMOS33 [get_ports {JC [1]}] ## Sch name = JC3 #set_property PACKAGE_PIN N17 [get_ports {JC [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports] JC [2] JC4 LVCMOS33 [get_ports {JC [4]}] ## Sch name = JC8 #set_property PACKAGE_PIN M19 [get_ports {JC [5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC [5]}] ## Sch name = JC9 #set_pro PACKAGE_PIN P17 [get_ports {JC [6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC [6]}] ## Sch name = JC10 #set_property PACKAGE_PIN R18 [get_ports {JC [7]}] #set_property IOSTA IOST33 JC [7]}]

## Pmod হেডার JXADC

## Sch name = XA1_P #set_property PACKAGE_PIN J3 [get_ports {JXADC [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC [0]}] ## Sch name = XA2_P #set_property PACKAG_P3 [JACAD_PIN] } = XA4_P #set_property PACKAGE_PIN N2 [get_ports {JXADC [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC [3]}] ## Sch name = XA1_N #set_property PACKAGE_PIN 4PART_T_KAND [KAST] KAST [KAST] LVCMOS33 [get_ports {JXADC [4]}] ## Sch name = XA2_N #set_property PACKAGE_PIN M3 [get_ports {JXADC [5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC [5]}] ## Schret name PACKAGE_PIN M1 [get_ports {JXADC [6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC [6]}] ## Sch name = XA4_N #set_property PACKAGE_PIN N1 [get_ports {JXADC [7] LOSPERTYS] [get_ports {JXADC [7]}]

## ভিজিএ সংযোগকারী

#set_property PACKAGE_PIN G19 [get_ports {vgaRed [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed [0]}] #set_property PACKAGE_PIN H19 [get_ports {vgaRed [1]} Vset_proed [vset_pro] }] #set_property PACKAGE_PIN J19 [get_ports {vgaRed [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed [2]}] #set_property PACKAGE_PIN N19 [get_ports {vgaRed [3] VOSRED [VOSRED] VOSRED [VOSRED] vgaBlue [1]}] #set_property PACKAGE_PIN K18 [get_ports {vgaBlue [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue [2]}] #set_property PACKAGE_PIN J18 [get_OS_PORT] get_ports {vgaBlue [3]}] #set_property PACKAGE_PIN J17 [get_ports {vgaGreen [0]}] #set_property IOSTANDARD LVCMOS33 [get_p orts {vgaGreen [0]}] #set_property PACKAGE_PIN H17 [get_ports {vgaGreen [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen [1]}] #set_property PACKAGE_PIN G17 [get_set] 2GARPART_GART_PART #GARPART_GART_PART #GARPART_GART_PART_GART LVCMOS33 [get_ports {vgaGreen [2]}] #set_property PACKAGE_PIN D17 [get_ports {vgaGreen [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen [3]}] #set_property PACKAGE_PIN P19 [get_ports Hsync] IOSTANDARD LVCMOS33 #set_property [get_ports Hsync] #set_property PACKAGE_PIN R19 [get_ports Vsync] #set_property IOSTANDARD LVCMOS33 [get_ports Vsync]

## USB-RS232 ইন্টারফেস

#set_property PACKAGE_PIN B18 [get_ports RsRx] #set_property IOSTANDARD LVCMOS33 [get_ports RsRx] #set_property PACKAGE_PIN A18 [get_ports RsTx] #set_property IOSTANDARD LVCMOS33 [get_ports Rs]

## USB HID (PS/2)

#set_property PACKAGE_PIN C17 [get_ports PS2Clk] #set_property IOSTANDARD LVCMOS33 [get_ports PS2Clk] #set_property PULLUP সত্য [get_ports PS2Clk] #set_property PACKAGE_PIN B17 [get_ports_PaspotPs2PS_POST_POSPET_S2_SAPT_POSPET_S2_SAPT_POSPET_S2_SAPT PSP_POSPT_S2_SAPT PSP_POSPET_S2_Staps_Pat2_Pass_Post_P2_S2_Pass_Post2

## কোয়াড এসপিআই ফ্ল্যাশ

## নোট করুন যে CCLK_0 7 সিরিজের ডিভাইসে রাখা যাবে না। আপনি ## STARTUPE2 আদিম ব্যবহার করে এটি অ্যাক্সেস করতে পারেন। #set_property PACKAGE_PIN D18 [get_ports {QspiDB [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB [0]}] #set_property PACKAGE_PIN D19 [get_ports {QspiDB [1] IOS_SOPRYS {1OST] LOVEST_SOTEST_OST {1OST] }] #set_property PACKAGE_PIN G18 [get_ports {QspiDB [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB [2]}] #set_property PACKAGE_PIN F18 [get_ports {QspiDB [LOSPART] 3]}] #set_property PACKAGE_PIN K19 [get_ports QspiCSn] #set_property IOSTANDARD LVCMOS33 [get_ports QspiCSn]

ধাপ 6: পিআইআর মোশন সেন্সরকে ওয়্যারিং করুন

পিআইআর মোশন সেন্সর আপ ওয়্যারিং
পিআইআর মোশন সেন্সর আপ ওয়্যারিং
পিআইআর মোশন সেন্সর আপ ওয়্যারিং
পিআইআর মোশন সেন্সর আপ ওয়্যারিং

পিআইআর মোশন সেন্সরের তিনটি পিন রয়েছে: যথাক্রমে পাওয়ার, জিএনডি এবং অ্যালার্ম (প্রথম ছবি দেখুন)। এই নির্দেশে প্রস্তাবিত মোশন সেন্সর সরাসরি রুটিবোর্ডের সাথে সংযুক্ত হতে পারে। কিন্তু আমরা যে সেন্সরটি ব্যবহার করেছি তার জন্য আমাদের তারগুলি কেটে এবং ছিঁড়ে ফেলতে হয়েছিল এবং তারপর উন্মুক্ত প্রান্তগুলিকে ঝলসানো থেকে বিরত রাখতে সোল্ডার করতে হয়েছিল। রুটিবোর্ডে পাওয়ার এবং গ্রাউন্ড পিনের সাথে সিরিজের একটি পুরুষ থেকে মহিলা জাম্পার ওয়্যার সন্নিবেশ করান এবং তারপর অ্যালার্ম পিনের সাথে সিরিজের একটি পুরুষ থেকে পুরুষ জাম্পার ওয়্যার (দ্বিতীয় ছবি দেখুন)।

ধাপ 7: ব্রেডবোর্ডে এলইডি লাগানো

ব্রেডবোর্ডে এলইডি লাগানো
ব্রেডবোর্ডে এলইডি লাগানো
ব্রেডবোর্ডে এলইডি লাগানো
ব্রেডবোর্ডে এলইডি লাগানো

রুটিবোর্ডে LED লাগান। LED এর সংক্ষিপ্ত সীসা সহ সিরিজের একটি কালো পুরুষ থেকে পুরুষ জাম্পার কেবল Insোকান। তারপরে LED এর দীর্ঘ সীসা সহ সিরিজের বিভিন্ন রঙের পুরুষ থেকে পুরুষ জাম্পার কেবল প্লাগ করুন।

ধাপ 8: বেসিস বোর্ড সংযোগ

বেসিস বোর্ড সংযোগ
বেসিস বোর্ড সংযোগ

পিআইআর মোশন সেন্সরের মহিলা প্রান্তগুলিকে বেসিস বোর্ডে 5 ভোল্ট ভোল্টেজ উৎসের সাথে সংযুক্ত করুন। তারপরে পুরুষ LED গ্রাউন্ড ওয়্যারকে পাশের পোর্টের মাটিতে সংযুক্ত করুন তারপর PIR মোশন সেন্সর থেকে অ্যালার্ম ওয়্যার এবং তারপর LED ইনপুট ওয়্যার (ছবিতে দেখা যায়)।

প্রস্তাবিত: